Сегодня активное развитие получила индустрия полупроводниковых виртуальных компонентов, или IP-блоков (Intellectual Property — «интеллектуальная собственность»). Как следствие, на рынке вместо крупных вертикально интегрированных компаний с полным циклом разработки и производства микросхем появились отдельные полупроводниковые производства, связанные с ними сервисы тестирования и корпусирования микросхем, интегрирующие компании — разработчики микросхем, не имеющие собственного производства (fabless), и компании — разработчики IP-блоков. Для России все эти изменения означают появление шанса к воссозданию индустрии электроники за счет быстрой реализации инженерных идей благодаря свободному доступу к контрактному полупроводниковому производству в странах Юго-Восточной Азии. Разработкой и поставкой виртуальных компонентов, как и систем-на-кристалле (System-on-Chip, SoC), вполне могут заниматься небольшие отечественные инженерные компании, имеющие в штате несколько десятков высококвалифицированных сотрудников.

Индустрия SIP

Несмотря на огромные вложения в автоматизацию электронной инженерии, разработчики не успевают спроектировать и в срок верифицировать огромное число вентилей, которое дает совершенствование полупроводниковой технологии, — один человек реально может спроектировать и отладить блок размером не более 1–1,5 млн вентилей в год. Сегодня даже в больших международных компаниях не хватает инженеров — крупные полупроводниковые компании, а также fabless-компании уже не в состоянии в сжатые сроки выпускать на рынок изделия сложностью в десятки миллионов вентилей и вынуждены заказывать разработку или приобретать электронные компоненты у внешних поставщиков, становясь интегратором. Если раньше интегратор проектировал электронное устройство на печатной плате из множества физических компонентов, то сейчас речь идет о системах на кристалле, где те же компоненты интегрированы непосредственно в кремний. Эти компоненты на этапе поставки интегратору являются виртуальными, а не физическими. Такие компоненты получили в индустрии название ядра полупроводникового интеллектуального продукта (Semiconductor Intellectual Property, SIP).

Поставка SIP-ядер осуществляется либо в виде кода на языках описания аппаратных средств Verilog и VHDL («мягкое» SIP-ядро), либо в виде синтезированной принципиальной схемы (NetList), либо в виде готовой топологии в формате GDSII («твердое» SIP-ядро) под конкретного производителя и базовую библиотеку. Поставка обычно оформляется в виде лицензии на использование SIP-ядер в более сложной продукции, производимой в виде физического кристалла СБИС — SoC.

Множество малых компаний начали разработку повторно используемых SIP-ядер различного функционального назначения, а также библиотек высокоуровневых и низкоуровневых компонентов, номенклатура и сложность которых постоянно увеличиваются. Типичная структура SoC, например, прикладного процессора, используемого в различных встроенных и мобильных устройствах, содержит несколько SIP-ядер от различных поставщиков, реализующих полный функционал прикладного процессора. Фактически, такая SoC — это гетерогенная мультипроцессорная система, сложность которой не позволяет провести в приемлемое время полную разработку всех блоков силами инженеров одной компании.

Наиболее ярким примером использования SIP-ядер как отдельного лицензируемого продукта является ядро микропроцессора ARM, который первоначально разрабатывался в архитектуре RISC для персональных компьютеров, однако с развитием встраиваемых систем, цифровых камер и систем сотовой связи компания ARM Holdings начала предлагать встраиваемые процессорные ядра, которые могли быть использованы при создании специализированных СБИС и SoC. Различные версии ядер ARM доступны как в «мягкой», в «схемной», так и в «твердой» версиях.

Бизнес SIP-индустрии включает в себя процессы, аналогичные тем, которые имеются в традиционных вертикально интегрированных электронных компаниях, fabless-компаниях и кремниевых фабриках. Однако, в отличие от устоявшихся бизнес-моделей в индустрии специализированных СБИС и электронных САПР, бизнес-модель SIP-индустрии значительно сложнее — в цепочке создания и производства СБИС или SoC могут участвовать несколько поставщиков виртуальных компонентов и несколько производителей СБИС и SoC. Возникает необходимость координации с множеством поставщиков, имеющих свою бизнес-модель и технические возможности.

Хотя в последние годы было достигнуто некоторое единообразие в бизнесе SIP, индустрия все еще в значительной степени не стандартизована. Среди продуктов SIP-индустрии можно выделить несколько классов [1].

  • Базовые SIP-библиотеки нижнего уровня, состоящие из стандартных логических элементов низкого уровня, триггеров, защелок, элементов ввода/вывода данных с чипа и буферов памяти. Эти элементы выполнены топологически как ячейки фиксированной высоты и переменной ширины, что является ключевым свойством для поддержки автоматической трассировки межсоединений между линейками таких элементов на кристалле. Топология этих ячеек выполняется полностью заказной, чтобы уменьшить задержки сигнала и площадь на кристалле. Базовые библиотеки предоставляются как поставщиками индустрии, так и большинством кремниевых фабрик, предоставляющих «технологические библиотеки», в которых один и тот же логический элемент низкого уровня может иметь несколько вариантов исполнений.
  • SIP-модули памяти SRAM, неразрушаемая память типа Flash, EEPROM, динамические модули памяти DRAM и 1T-SRAM.
  • Интерфейсные или стандартные SIP-модули, которые реализуют спецификации общепринятых стандартов для коммуникаций, такие как USB-1,2,3, PCI, IEEE1394 (Firewire), IrDA, Bluetooth or 802.11 и др.
  • Процессорные SIP-ядра, включая обычные микропроцессоры, процессоры обработки сигналов, графические и видеопроцессоры, а также специализированные ядра.
  • Аналоговые блоки, которые обычно предоставляются только в «твердой» форме, ориентированной на конкретный технологический процесс на выбранной кремниевой фабрике.
  • Вычислительные платформы, которые могут состоять из модульных вычислителей, реконфигурируемых FPGA-блоков и специализированных процессорных блоков.

Тип и форма SIP-продукта могут влиять на цену лицензии, частоту обновлений, необходимую поддержку и срок интеграции в конечный продукт. Ценность и значимость SIP-продукта также различны, в зависимости от режима его использования клиентом и массовости тиража микросхем с этим продуктом. На рисунке представлена структура процессора Freescale Fi.MX35 для мобильных приложений с различными классами SIP-продуктов, которые поставлены шестью компаниями-разработчиками: ARM, Vivante, CAST, Imagination, Silicon Image и Freescale.

Виртуальные компоненты — шанс для России
Процессор мобильных приложений Freescale i.MX35 с различными классами SIP-продуктов

 

Особенностью использования SIP-ядер является то, что покупатель лицензии становится уже не просто клиентом, а подрядчиком поставщика. Для принятия решения об использовании определенных компонентов покупателю помимо функциональных особенностей требуется знать гораздо больше внутренней информации о них. Проблемой производителя-поставщика становится предоставление модели на языке описания аппаратных средств HDL, достаточной для симуляции, синтеза и анализа проектируемой SoC и вместе с тем защищенной от нелегального копирования. Это усложняет обнаружение проблем в приобретенном SIP-продукте и требует соответствующих договоренностей и тесного взаимодействия между покупателем и поставщиком.

Особенности разработки и поставки виртуальных компонентов

Так как виртуальные компоненты являются повторно используемыми продуктами, причем предполагается их применение не разработчиками, а обладателями лицензии, то на их разработку накладываются дополнительные требования по составлению комплекта документации и тестов, которые позволяют уменьшить количество проблем при интеграции в конечное устройство. Это требует существенного увеличения объема работ по сравнению с обычным проектируемым блоком СБИС. Для повторного использования блока внутри компании потребуется объем работы втрое больший по сравнению с его обычным проектированием, а для его внешнего использования в виде SIP-продукта — в девять раз.

Модели бизнеса и использования SIP

«Мягкие» SIP-продукты обычно поставляются в виде кода на высокоуровневых языках (RTL, C++, Verilog или VHDL) либо в формате NetList. Обычно такие SIP-продукты легко переносятся между различными процессами полупроводникового производства, но не оптимизированы под определенную технологию, вследствие чего потребляемая мощность, производительность и площадь чипа неизвестны до выбора технологии процесса и используемой для синтеза топологии библиотеки. К достоинствам этой формы SIP-продукта можно отнести функциональность, возможность повторного использования, доступность и соответствие стандартным спецификациям SUB, ARM AMBA, PCI и IEEE 802.11. Некоторые поставщики также могут предоставлять принципиальную схему NetList, с помощью которой можно воспроизвести SIP-продукт либо на микросхемах типа FPGA для проверки работоспособности, либо сразу в конечном изделии в виде специализированной СБИС.

«Твердые» SIP-продукты обычно поставляются в графическом формате GDSII вместе с перечнем соответствующих инструментов электронных САПР и оптимизированы под специфичный процесс производства на конкретной кремниевой фабрике. Также может использоваться формат bit-stream (файл для программирования внутренней памяти микросхемы FPGA, определяющей аппаратную конфигурацию), если исходный «мягкий» SIP-продукт был реализован конкретно для FPGA. Часто «твердый» SIP-продукт, так же как и произведенная микросхема, имеет спецификацию, включающую мощность, скорость и площадь, занимаемую на кристалле. Примеры «твердых» SIP-продуктов: процессоры, стандартные блоки, память, ячейки PLL (Phase Lock Loop — «фазовая автоподстройка частоты»), аналоговые блоки и блоки ввода/вывода. «Твердые» SIP-продукты обычно не портируемы на другой технологический процесс производства.

Покупатели или системные интеграторы SoC, работающие с несколькими источниками SIP-продуктов, сталкиваются с множеством моделей бизнеса и, соответственно, с различными схемами оплаты, причем часто бывает трудно даже сравнить похожие или идентичные SIP-продукты. В отличие от устоявшихся моделей рынков специализированных СБИС и электронных САПР, инфраструктура SIP-бизнеса может показаться запутанной, и в реальности сложно определить экономическую ценность разных продуктов SIP.

Если SIP-продукт приобретается в «мягкой» форме, то необходимо оценить затраты для его доводки до «твердой» формы и проверки на кремнии. Если SIP-продукт приобретается в «твердой» форме, то необходимо оценить его потенциальную мобильность и факторы затрат и рисков при форс-мажорной смене кремниевой фабрики, на которой будут производиться конечные изделия.

Далее необходимо провести многофакторный анализ по каждому классу SIP-продукта с точки зрения соответствия существующим и адаптации к будущим стандартам (для интерфейсных модулей, к примеру). Для классов такой сложнофункциональной продукции, как процессорные ядра различного назначения, необходимо оценивать архитектуру, производительность и средства разработки программ. Кроме того, должны оцениваться риски и расходы на необходимую модификацию в жизненном цикле изделия. При использовании аналоговых SIP-продуктов необходимо оценивать их реальную производительность на кремнии и потенциальные проблемы при варьировании процессов производства на фабрике.

Последним ключевым фактором является мобильность SIP-продукта и его портабельность, причем этот фактор должен оцениваться совместно с кремниевой фабрикой до начала проектных работ по интеграции в изделие. Обеспечиваемые непосредственно самой фабрикой SIP-продукты обычно технологически не мобильны и не портабельны, так же как может оказаться, что и лицензия самой фабрики не позволяет это сделать. Это порождает потенциальный риск задержки производства и поставки на рынок из-за перегруза или аварийных остановок фабрики, поэтому должны быть предусмотрены альтернативные варианты выбора SIP-продукта на случай форс-мажора на законтрактованной кремниевой фабрике.

Наиболее общие бизнес-модели использования SIP-продукта:

  • модель по штучному использованию (Pay-Per-Use) — одноразовая плата за использование SIP-продукта для каждого устройства;
  • повременная модель — неограниченное количество использований SIP-продукта в определенный и ограниченный период времени;
  • модель производственных отчислений (royalty) — часть или полная плата за использование SIP-продукта распределена по производимым устройствам, отчисляется процент от стоимости каждого устройства;
  • модель по подписке — доступ к портфелю SIP-продуктов, предусматривающий плату за подписку и сниженную плату за использование.

Важным критерием оценки живучести SIP-продукта является поддержка жизненного цикла продукта, включающая: обслуживание; поддержку; модификацию, портирование для новых технологий; возможность выполнения дополнительных договорных работ.

Модель Pay-Per-Use удобна, когда требования и возможные варианты использования продукта определены на ранней стадии проектирования. Оплата может взиматься как за конкретный экземпляр SIP-продукта, так и за определенную конфигурацию, содержащую несколько экземпляров и считающуюся одним «использованием». Плата обычно включает начальный взнос для первого использования, а также сниженную плату за повторное использование в новом изделии. Специфика малого числа проектов и малых размеров российских компаний, а также относительно малой серийности производства позволяют в большинстве случаев работать только по этой модели.

 

Стандарты для SIP

Интеграторы-производители SoC выбирают не собственно SIP-ядра, а экосистему вокруг них, включающую как многоуровневую систему программного обеспечения, так и сложившиеся маркетинговые отношения. Например, SIP-продукты от ARM доминируют в мире смартфонов из-за экосистемы, сложившейся вокруг платформы ARM, включающей большой объем программного обеспечения. Эта экосистема позволяет разрабатывать конечный продукт в виде смартфонов значительно быстрее.

Крупной компании, которая присоединяет к себе компанию-разработчика SIP-продукта, требуются от нее не собственно сами SIP-продукты — их почти невозможно внедрить в новых условиях, — а коллектив квалифицированных сотрудников, способных их разрабатывать и сопровождать.

Развитие бизнес-модели fabless-компаний требует, чтобы SIP-продукт был настроен по месту интеграции квалифицированными разработчиками с учетом кремниевой технологии, что возможно только в больших компаниях. Однако SIP-продукты могут также создаваться и небольшими коллективами из разных стран. Главным условием того, чтобы это продолжалось и в будущем, является надежная стыковка или интеграция различных SIP-продуктов в СБИС SoC, что может быть достигнуто благодаря стандартизации интерфейсов. В этом плане большое значение имеет стандарт IP-XACT [3].

Разработка стандарта IP-XACT началась несколько лет назад в компании NXP Semiconductors (Нидерланды), которая пыталась автоматизировать производство систем на кристалле и работала с большой группой инженеров, производящей сотни чипов с небольшими модификациями, а проектировщики должны были интегрировать каждую SoC заново. Для NXP нужны были способ описания SIP-продукта и возможность использования их в будущем без необходимости проводить полное реконструирование заново. В качестве решения был выбран продукт Platform Express компании Mentor Graphics, впоследствии включенный в IP-XACT.

Ранняя версия стандарта разрабатывалась c 2003 года в SPIRIT Consortium, который в 2009 году объединился с Accellera, занимавшейся разработкой стандартов для электронного проектирования. Затем Accellera передала свои права в IEEE в надежде на содействие в завершении стандарта, который был бы широко принят индустрией. В июне 2009 года спецификация IP-XACT была передана в IEEE-SA для утверждения в качестве стандарта IEEE 1685.

Стандарты уменьшают затраты, необходимые для создания интеграционных инструментов, но если существует такой барьер, как, например, высокая цена доступа к стандарту, то малые компании начинают изобретать свои собственные средства, как это происходило в сфере автоматизации электронного проектирования до принятия стандарта IEEE 1685. Для устранения этого барьера Accellera предложила платить за все загрузки стандарта, не взимая платы с конечных пользователей, что позволило небольшим компаниям занять свои ниши в SIP-индустрии и ускорило развитие систем на кристалле.

IP-XACT описывает схему XML для документирования метаданных SIP-ядер, используемых в проектировании, разработке и верификации электронных систем. Схема обеспечивает стандартный метод документирования SIP-блоков, совместимый с технологиями автоматизированной интеграции SoC в рамках существующих электронных САПР. Интерфейс представляет собой стандартный метод для связывания инструментов САПР в каркас системной разработки. Стандарт не зависит от специфичных процессов проектирования и не покрывает поведенческие характеристики и внутренний функционал SIP-блоков, что гарантирует совместимость SIP-блоков от различных производителей. Стандартизированные формы IP-XACT включают: компоненты; системы; шинные интерфейсы и соединения; абстракции этих шин; детали компонентов, в том числе адресные карты, регистры и описания полей; описания наборов файлов для использования в автоматизированном проектировании, верификации, документации, потоки использования для электронных систем. Также предоставляется портируемый интерфейс генератора SoC.

 

Микропроцессор NVCom-01

В России на основе виртуальных компонентов или SIP-ядер с использованием САПР Cadence разработаны и протестированы образцы SoC по технологическим нормам от 180 до 65 нм. К таким микросхемам относятся разработанные в 2011 году в НПЦ «ЭЛВИС» микросхемы высокопроизводительных многоядерных коммуникационных микропроцессоров 1892ВМ10Я (NVCom-02T) по проектным нормам 0,13 мкм и 1892ВМ11Я (NVCom-02) по проектным нормам 65 нм со встроенной поддержкой функций ГЛОНАСС/GPS. Микросхема спроектирована на базе IP-ядерной платформы «Мультикор» и включает около 60 млн транзисторов.

В микропроцессоре имеется три ядра процессора MIPS32; память 4 Мбит; 32-разрядный порт внешней памяти MPORT со встроенным контроллером доступа к внешней памяти типа SRAM/ SDRAM/ SBSRAM/ FLASH/ ROM; встроенный DMA-контроллер; последовательные порты I2C, USB, Ethernet 10/100 Мбит/с, два порта UART, четыре многофункциональных порта MFBSP (I2S/ SPI/ SHARC LPORT/ GPIO) с DMA; порты ввода/вывода видеоданных; встроенные средства отладки программ; встроенный умножитель/делитель входной частоты; интервальный таймер, таймер реального времени, сторожевой таймер; многоканальный навигационный коррелятор: 48 каналов слежения; навигационные сигналы GPS С/A, GPS L2С, ГЛОНАСС СТ; устройство быстрого поиска.

Схожие по составу и функциональности системы производят еще несколько российских компаний: КБ «Навис», НТЦ «Модуль», НИИСИ РАН, которые также вынуждены использовать лицензируемые SIP-ядра для сокращения сроков проектирования, снижения энергопотребления, расширения функциональности и повышения производительности SoC.

 

Вершины и тернии IP-блоков

Идея разработки IP-блоков для их повторного использования оправданна — такие блоки позволяют экономить ресурсы при создании новых микросхем SoC и уменьшать время выпуска на рынок новых продуктов, однако позиции производителя IP-блоков, зарабатывающего на них деньги, и их потребителя существенно разные.

Производство, например, периферийных IP-блоков требует доступа к спецификациям, наличия специализированных САПР, участия в консорциумах по созданию спецификаций, наличия коллективов высококвалифицированных специалистов и тесных связей с фабриками для изготовления в кремнии опытных образцов IP-блоков. Для использования IP-блоков требуется менее квалифицированный коллектив инженеров, достаточного беглого знакомства со спецификацией и дизайнерские САПР. Сама сборка SoC — это достаточно рутинная работа в основном по физическому дизайну, при которой основная часть работы выполняются на САПР.

Обычно ведущие изготовители микросхем обладают определенной культурой ведения бизнеса, подразумевающей выпуск продукции определенного качества, поэтому для таких компаний покупка IP-блоков — это вопрос соответствия различных культур. Формальный подход требует тысяч страниц документации и переговоров для определения условий, при которых допустимо использование блоков, причем не всегда понятно, как поведет себя блок при переходе к новым нормам изготовления.

Использование IP-блоков оправданно в том случае, когда требуется быстро выпустить SoC небольшим тиражом, но в дальнейшем имеет смысл заменить их IP-блоком собственного изготовления. Исключения составляют топологические «твердые» блоки, которые сильно завязаны на фабрику, —например, интерфейс физического уровня для контролера памяти DDRII для фабрики Taiwan Semiconductor Manufacturing Company. Другой пример —выпуск на рынок IP-блоков процессорных ядер, например PowerPC 4xx от IBM, — свидетельствует о том, что эта корпорация не заинтересована в ведении бизнеса по изготовлению SoC в кремнии, не видит рынка сбыта продукции, который достиг насыщения. В этом случае небольшие компании могут «разрабатывать» свои ниши и продвинуть SoC на основе процессорных ядер от IBM.

Рост собственного инженерного потенциала возможен только при разработке собственных IP-блоков, а использование готовых позволяет развивать инженеров по физическому дизайну, но приводит к деградации инженеров по разработке логического дизайна (frontend). В целом, если требуется изготавливать SoC на основе IP-блоков, надо четко понимать, что доступ к IP-блокам предоставляется сегодня многими компаниями, что неизбежно приведет к конкуренции. В этом случае надо ответить на вопрос: в чем заключаются ваши конкурентные преимущества перед остальными компаниями? (Cпецифический рынок сбыта продукции, уникальные собственные IP-блоки, специфический доступ к фабрике — изготовителю SoC, дешевая рабочая сила, дешевые САПР и т. д.)

Упоминаемая в статье идея использования сложных IP-блоков от различных поставщиков весьма сомнительна — лучше выбирать одного поставщика. Эта ситуация аналогична той, что бывает в фармацевтике, когда изготовители лекарственных препаратов указывают, что их продукцию следует принимать отдельно, поскольку вопрос взаимодействия с другими лекарственными препаратами не изучался. С другой стороны, идея стандартизации на IP-блоки достаточно плодотворна, однако, хотя в статье и упоминается IP-XACT, его смысл не очень понятен — этот стандарт предназначен для САПР, а не для инженеров-разработчиков IP-блоков.

Дмитрий Дрягалкин (didryaga@module.ru), ведущий инженер, НТЦ «Модуль», (Москва).

 

***

Практически неограниченный доступ к новейшим технологиям полупроводникового производства в странах Юго-Восточной Азии через контрактную модель позволяет российским малым и средним компаниям самостоятельно создавать и верифицировать через опытные образцы сложные SoC, состоящие из собственных и лицензированных SIP-продуктов. О важности такой работы для экономики говорит тот факт, что в Китае электроника и национальная безопасность — синонимы. Наличие до 90% импортных ключевых компонентов (часто сомнительного происхождения) даже в изделиях специального применения ставит Россию в катастрофическую технологическую зависимость, подрывающую основы национальной безопасности. Вместе с тем ситуация сегодня весьма удручающая — многие отечественные федеральные программы развития компонентной базы дали крайне скромные результаты и были ориентированы на старую структуру промышленности. В результате сегодня уже даже Вьетнам обошел Россию по объему производства электроники, а в одном лишь городке Кремниевой долины проектировщиков чипов сейчас больше, чем во всей России.

Несмотря на недостатки SIP-подхода (в частности, сложность этого бизнеса, предполагающего ответственность до того момента, пока микросхема у клиента не заработала как положено) альтернатив ему, к сожалению, нет — в одной компании практически невозможно спроектировать за год-два современный чип на 100 млн транзисторов.

 

Часть и целое

В микроэлектронике, как и в любой отрасли, находящейся на достаточно зрелом этапе развития, использование стандартных функциональных блоков при проектировании уникальных высокотехнологичных решений стало практически обязательным. Сегодня уже никто не проектирует какое-либо изделие с нуля — все стараются опираться на уже существующие IP-блоки. Отчасти поэтому сегодня существует множество готовых IP-блоков зарубежных производителей, совокупного функционала которых вполне достаточно для проектирования и производства большинства изделий. Поэтому, на мой взгляд, на сегодняшнем этапе развития отечественной индустрии микроэлектроники наиболее целесообразно избрать путь проектирования востребованных на общемировом рынке изделий на базе IP-блоков, а не разработки отдельных блоков. При этом все чаще с микроэлектронными компонентами интегрировано программное обеспечение, реализующее, по сути, его функционал, — об этом также не стоит забывать как при проектировании IP-блоков, так и в процессе их выбора для разработки конечных изделий. Наша компания также использует IP-блоки при проектировании своих решений. Преимущественно это касается компонент, производство которых в России экономически нецелесообразно или невозможно вовсе. Однако большую часть конструктивных элементов мы стремимся разрабатывать самостоятельно. В частности, недавно мы объявили о намерении создать нанотехнологический центр по проектированию микроэлектронных компонентов. Это позволит нам диверсифицировать бизнес и повысит конкурентоспособность российской микроэлектронной отрасли на мировом рынке.

Алексей Комков (Alexey.Komkov@t-platforms.ru), заместитель генерального директора по продуктам и технологиям компании «Т-Платформы», (Москва).

 

Литература

  1. Understanding The Semiconductor Intellectual Property (SIP) Business Process: Finding, Evaluating And Licensing Commercial SIP . — GSA's IP Subcommittee's Industry Baseline Working Group.
  2. Huber Kaeslin, VLSI Economics and Project Management. — Microelectronics Design Center, ETH Zurich, 2008
  3. IEEE Standard for IP-XACT , Standard Structure for Packaging, Integrating, and Reusing IP within Tool Flows. — IEEE Computer Society and the IEEEE Standards Association Corporate Advisory Group.

Михаил Алексеев (alekseev@cadence.com) — инженер VCAD, Cadence (Зеленоград), Артем Игликов (artem.iglikov@gmail.com) — докторант Казахско-Британского технического университета (Алматы), Тимур Палташев (timour.paltashev@gmail.com) — профессор НИУ ИТМО (Санкт-Петербург).